Dampfradioforum

Röhrenradioforum: Das Forum für alle Freunde alter Röhrenradios, Kofferradios und Röhrentechnik!
Ihr letzter Besuch: Mi Mai 15, 2024 23:43 Aktuelle Zeit: Mi Mai 15, 2024 23:43

Alle Zeiten sind UTC + 1 Stunde




 [ 5 Beiträge ] 
Autor Nachricht
 Betreff des Beitrags: Bastelei mit CPLD von Lattice
BeitragVerfasst: Fr Dez 06, 2013 11:29 
Offline
Benutzeravatar

Registriert: Mi Apr 25, 2012 16:30
Beiträge: 4248
Kenntnisstand: Elektrotechnischer Beruf/ Studium
Hallo Zusammen


Seit kurzem bastelte ich mit CPLD von lattice.

CPLD ist eine Nachfolger von GAL und FPGA-Vorgänger von CPLD und wird immer noch gerne damit entwickelt, wo auf scharfe Timing Wert liegt. Das ist eine freiprogrammierbare Logik-IC
Es ist gar nicht mit Mirkocontroller vergleichbar, der nur Schritt vor Schritt verarbeitet und CPLD einfach parallel

Bei meine Jobs wird solcher CPLD verbaut und paar fliegt in Schrott, da ist meine Interesse an ihm seit kurzem aufgefacht..

Erste Versuch mit UND-Gatter: postitiv
Aber sobald FlipFlop in Spiel kommt, da streikt einfach alles..
Brett vor Kopf: Reset ist ausgelöst und stoppt FlipFlop , da müsste ich schämen.


Kleine Versuch mit Zähler, der mometan als Uhr verschaltet wird..
Dateianhang:
CPLD_Clock.jpg


Man sieht dass da eine CPLD und Anzeige und Quarz für Uhr ausreicht, und nicht massige TTL Grab.
Auf Bild sieht man da Platine aus Schrott beim Arbeit, restlich bis auf eine Chip ist nutzlos und rausgelötet, aber ideal zum expertimieren :-D

Achja, Anzeige ist da DDR Nixie mit Dekoder an Platine, der ich einfach so genohmen habe, da der fertige Ding ist, ansonst müsste ich Anzeige basteln.

Mal schaun ob ich eine komplette Frequenzzähler intergiert. (Quarz, Vorverstärker und Torsteuerung mit Monoflop ist extern) Aber ich sehe da postitiv...

Grüss
Matt


Sie haben keine ausreichende Berechtigung, um die Dateianhänge dieses Beitrags anzusehen.

_________________
"Die Grenzen meine Sprache bedeuten die Grenzen meiner Welt."
unbekannt

"Nur die Lüge braucht Stütze. Die Wahrheit steht von alleine aufrecht"
Thomas Jefferson

"Wer im Netz seinen Humor verliert, der hat schon verloren."


Nach oben
  
 
 Betreff des Beitrags: Re: Bastelei mit CPLD von Lattice
BeitragVerfasst: Sa Dez 14, 2013 8:05 
Offline
Benutzeravatar

Registriert: Mi Apr 25, 2012 16:30
Beiträge: 4248
Kenntnisstand: Elektrotechnischer Beruf/ Studium


Voltmeter mit Automatik bem Hochschalten...
erste Ziffer sagt Bereich, aber dezimalcodiert, also 1-2-4-8 Schritte..

Grüss
Matt

_________________
"Die Grenzen meine Sprache bedeuten die Grenzen meiner Welt."
unbekannt

"Nur die Lüge braucht Stütze. Die Wahrheit steht von alleine aufrecht"
Thomas Jefferson

"Wer im Netz seinen Humor verliert, der hat schon verloren."


Nach oben
  
 
 Betreff des Beitrags: Re: Bastelei mit CPLD von Lattice
BeitragVerfasst: Sa Dez 14, 2013 8:34 
Offline
Benutzeravatar

Registriert: Sa Feb 26, 2011 19:44
Beiträge: 2464
Wohnort: NRW
Kenntnisstand: Elektrotechnischer Beruf/ Studium
Hallo Matt,

gut, dass da Nixi drinnen ist. Jetz noch ein hübsches Gehäuse :super:

Wie programmierst Du die Dinger?

Gruss
Oliver

_________________
Nette Grüsse aus dem Ruhrgebiet.

ollisTubes bei Youtube
ollisTubes bei odysee


Nach oben
  
 
 Betreff des Beitrags: Re: Bastelei mit CPLD von Lattice
BeitragVerfasst: Sa Dez 14, 2013 8:42 
Offline
Benutzeravatar

Registriert: Mi Apr 25, 2012 16:30
Beiträge: 4248
Kenntnisstand: Elektrotechnischer Beruf/ Studium
Hallo Oliver

Bei Lattice CPLD hast du mehre Möglichkeit..
VHDL, ABEL, Schematic, noch 2 weitere Programmierungsprache..
Ich bin eher in Löten mächtig ,also Schematic :-D
Wie einer Analog Guru geschrieben hat: my farvoite programm language is SOLDER.


DDR Nixie..hab nur genohmen weil der fertig ist.. Endprodukt kriegt aber ZM1041 und ZM1040 als Anzeige.

Grüss
Matt

_________________
"Die Grenzen meine Sprache bedeuten die Grenzen meiner Welt."
unbekannt

"Nur die Lüge braucht Stütze. Die Wahrheit steht von alleine aufrecht"
Thomas Jefferson

"Wer im Netz seinen Humor verliert, der hat schon verloren."


Nach oben
  
 
 Betreff des Beitrags: Re: Bastelei mit CPLD von Lattice
BeitragVerfasst: Sa Jan 18, 2014 7:43 
Offline
Benutzeravatar

Registriert: Mi Apr 25, 2012 16:30
Beiträge: 4248
Kenntnisstand: Elektrotechnischer Beruf/ Studium
So, hier Endprodukt mit AD/Wandler in Prototyp-Stadium

Dateianhang:
IMAG0212.jpg

Platine mit ZM1042 und ZM1041 (ZM1042 wird rot gefärbt,geplant)


Das ist AD/Wandler in Prototyp-Zustand, noch kämpfe ich mit Offsetspannung von 16mV (grösste Anteil macht da CA3140 als Vorverstärker mit Automatik) Ansonst wird ich Edel-Operationsverstärker verbauen, mit nur maximale 200µV offset
Dateianhang:
cpld_4.jpg

Dateianhang:
cpld_3.jpg


Grüss
Matt


Sie haben keine ausreichende Berechtigung, um die Dateianhänge dieses Beitrags anzusehen.

_________________
"Die Grenzen meine Sprache bedeuten die Grenzen meiner Welt."
unbekannt

"Nur die Lüge braucht Stütze. Die Wahrheit steht von alleine aufrecht"
Thomas Jefferson

"Wer im Netz seinen Humor verliert, der hat schon verloren."


Nach oben
  
 
 [ 5 Beiträge ] 

Alle Zeiten sind UTC + 1 Stunde


Wer ist online?

Mitglieder in diesem Forum: claudebot und 1 Gast


Sie dürfen keine neuen Themen in diesem Forum erstellen.
Sie dürfen keine Antworten zu Themen in diesem Forum erstellen.
Sie dürfen Ihre Beiträge in diesem Forum nicht ändern.
Sie dürfen Ihre Beiträge in diesem Forum nicht löschen.
Sie dürfen keine Dateianhänge in diesem Forum erstellen.

Gehe zu:  
POWERED_BY
Deutsche Übersetzung durch phpBB.de

 
Impressum